Mohammadkazem (Kazem) Taram

I am an assistant professor in the Department of Computer Science at Purdue University. I received my PhD. degree from the Department of Computer Science and Engineering (CSE) at the University of California San Diego (UCSD) in 2022. My research interests are in computer architecture and computer security. In particular, I am interested in microarchitectural attacks, high-performance mitigations, and architecture support for security and privacy.

I am looking for graduate students. Please contact me if you are interested in my research.

Publications

2023 NVLeak: Off-Chip Side-Channel Attacks via Non-Volatile Memory Systems
Zixuan Wang, Mohammadkazem Taram, Daniel Moghimi, Steven Swanson, Dean Tullsen, Jishen Zhao
USENIX Security Symposium (USENIX Security), Aug 2023.
[pdf][bibtex][artifact]
@inproceedings {usenix23-nvleak,
title = {NVLeak: Off-Chip Side-Channel Attacks via Non-Volatile Memory Systems},
author={ Zixuan Wang and Mohammadkazem Taram and Daniel Moghimi and Steven Swanson and Dean Tullsen and Jishen Zhao},
booktitle = {32nd {USENIX} Security Symposium ({USENIX} Security 23)},
year = {2023}
}
      
Half&Half: Demystifying Intel’s Directional Branch Predictors for Fast, Secure Partitioned Execution
Hosein Yavarzadeh, Mohammadkazem Taram, Shravan Narayan, Deian Stefan, Dean Tullsen
IIEEE Symposium on Security and Privacy (IEEE S&P), May 2023.
[pdf][bibtex][video][code]
TBD
      
Going Beyond the Limits of SFI: Flexible Hardware-Assisted In-Process Isolation with HFI
Shravan Narayan, Tal Garfinkel, ‪Mohammadkazem Taram, Joey Rudek, Daniel Moghimi, Evan Johnson, Chris Fallin, Anjo Vahldiek-Oberwagner, Michael LeMay, Ravi Sahita, Dean Tullsen, Deian Stefan
Architectural Support for Programming Languages and Operating Systems (ASPLOS), March 2023.
[pdf][bibtex][video][code]
TBD
      
Distinguished Paper Award!
2022 SecSMT: Securing SMT Processors against Contention-Based Covert Channels
Mohammadkazem Taram, Xida Ren, Ashish Venkat, Dean Tullsen,
USENIX Security Symposium (USENIX Security), 2022.
[pdf (extended)][bibtex][artifact]
@inproceedings {usenix22-secsmt,
title = {SecSMT: Securing {SMT} Processors against Contention-Based Covert Channels},
author={ Mohammadkazem Taram and Xida Ren  and Ashish Venkat and Dean Tullsen},
booktitle = {31st {USENIX} Security Symposium ({USENIX} Security 22)},
year = {2022},
address = {Boston, MA},
month = aug,
}
      
Mitigating Speculative Execution Attacks via Context-Sensitive Fencing
Mohammadkazem Taram, Ashish Venkat, and Dean Tullsen
IEEE Design & Test, Special Issue on Top Picks from Hardware and Embedded Security (IEEE D&T), 2022.
[pdf][bibtex][video][code]
TBD
      
2021 I See Dead µops: Leaking Secrets via Intel/AMD Micro-Op Caches
Xida Ren, Logan Moody, Mohammadkazem Taram, Matthew Jordan, Dean Tullsen, Ashish Venkat,
Proceedings of the ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA), Online, June 2021.
[pdf][bibtex][slides][video][code]
@inproceedings{isca21-uop, 
title={I See Dead µops: Leaking Secrets via Intel/AMD Micro-Op Caches}, 
author={Xida Ren and Logan Moody and Mohammadkazem Taram and  Matthew Jordan and Dean M. Tullsen and Ashish Venkat}, 
booktitle={Proceedings of the ACM/IEEE 48th Annual International Symposium on Computer Architecture},
Month = {June},
year={2021}  , 
series = {ISCA '21}
}
      
Not All Features Are Equal: Discovering Essential Features for Preserving Prediction Privacy
Fatemehsadat Mireshghallah, Mohammadkazem Taram, Ali Jalali, Ahmed Taha Elthakeb, Dean Tullsen, and Hadi Esmaeilzadeh
Proceedings of the Web Conference (WWW), Ljubljana, Slovenia, April 2021.
[pdf][bibtex][video][code]
@inproceedings{www2021-cloak, 
title={Not All Features Are Equal: Discovering Essential Features for Preserving Prediction Privacy}, 
author={Fatemehsadat Mireshghallah and Mohammadkazem Taram and Ali Jalali and Ahmed Taha Elthakeb and Dean Tullsen and Hadi Esmaeilzadeh}, 
booktitle={Proceedings of The Web Conference},
Month = {April},
year={2021}  , 
series = {WWW '21}
}
      
2020 Packet Chasing: Spying on Network Packets over a Cache Side-Channel
Mohammadkazem Taram, Ashish Venkat, and Dean Tullsen
Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA), Online, May 2020.
[pdf][bibtex][slides][video]
@inproceedings{isca20-chasing,
author = {Taram, Mohammadkazem and Venkat, Ashish and Tullsen, Dean},
title = {Packet Chasing: Spying on Network Packets over a Cache Side-Channel},
year = {2020},
isbn = {9781728146614},
publisher = {IEEE Press},
url = {https://doi.org/10.1109/ISCA45697.2020.00065},
abstract = {This paper presents Packet Chasing, an attack on the network that does not require access to the network, and works regardless of the privilege level of the process receiving the packets. A spy process can easily probe and discover the exact cache location of each buffer used by the network driver. Even more useful, it can discover the exact sequence in which those buffers are used to receive packets. This then enables packet frequency and packet sizes to be monitored through cache side channels. This allows both covert channels between a sender and a remote spy with no access to the network, as well as direct attacks that can identify, among other things, the web page access patterns of a victim on the network. In addition to identifying the potential attack, this work proposes a software-based short-term mitigation as well as a light-weight, adaptive, cache partitioning mitigation that blocks the interference of I/O and CPU requests in the last-level cache.},
booktitle = {Proceedings of the ACM/IEEE 47th Annual International Symposium on Computer Architecture},
pages = {721–734},
numpages = {14},
series = {ISCA '20}
}
      
Shredder: Learning Noise Distributions to Protect Inference Privacy
Fatemehsadat Mireshghallah, Mohammadkazem Taram, Prakash Ramrakhyani, Ali Jalali, Dean Tullsen, and Hadi Esmaeilzadeh
Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Online, March 2020.
[pdf][bibtex][video][code]
@inproceedings{asplos20-shredder,
author = {Mireshghallah, Fatemehsadat and Taram, Mohammadkazem and Ramrakhyani, Prakash and Jalali, Ali and Tullsen, Dean and Esmaeilzadeh, Hadi},
title = {Shredder: Learning Noise Distributions to Protect Inference Privacy},
year = {2020},
isbn = {9781450371025},
publisher = {Association for Computing Machinery},
address = {New York, NY, USA},
url = {https://doi.org/10.1145/3373376.3378522},
doi = {10.1145/3373376.3378522},
booktitle = {Proceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems},
pages = {3–18},
numpages = {16},
keywords = {neural networks, noise, edge computing, privacy, inference, cloud computing, deep learning},
location = {Lausanne, Switzerland},
series = {ASPLOS '20}
}
      
Privacy in Deep Learning: A Survey
Fatemehsadat Mireshghallah, Mohammadkazem Taram, Praneeth Vepakomma, Abhishek Singh, Ramesh Raskar, and Hadi Esmaeilzadeh
ArXiv Preprint, 2020.
[pdf][bibtex]
@misc{mireshghallah2020privacy,
      title={Privacy in Deep Learning: A Survey}, 
      author={Fatemehsadat Mireshghallah and Mohammadkazem Taram and Praneeth Vepakomma and Abhishek Singh and Ramesh Raskar and Hadi Esmaeilzadeh},
      year={2020},
      eprint={2004.12254},
      archivePrefix={arXiv},
      primaryClass={cs.LG}
}
      
2019 Context-Sensitive Fencing: Securing Speculative Execution via Microcode Customization
Mohammadkazem Taram, Ashish Venkat, and Dean Tullsen
Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Providence, RI, USA, March 2019.
[pdf][bibtex][slides][video][code]
@inproceedings{asplos19-csf,
    author = {Taram, Mohammadkazem and Venkat, Ashish and Tullsen, Dean},
    title = {Context-Sensitive Fencing: Securing Speculative Execution via Microcode Customization},
    year = {2019},
    isbn = {9781450362405},
    publisher = {Association for Computing Machinery},
    address = {New York, NY, USA},
    url = {https://doi.org/10.1145/3297858.3304060},
    doi = {10.1145/3297858.3304060},
    booktitle = {Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems},
    pages = {395–410},
    numpages = {16},
    keywords = {taint tracking, spectre, secure architectures, speculative execution, side-channel attacks, microcode},
    location = {Providence, RI, USA},
    series = {ASPLOS '19}
}
Top Pick in Hardware and Embedded Security -- selected from architecture/security/VLSI design conferences held between 2014-2019!
Context-Sensitive Decoding: On-Demand Microcode Customization for Security and Energy Management
Mohammadkazem Taram, Ashish Venkat, and Dean Tullsen
IEEE Micro, Special Issue on the Top Picks from the Computer Architecture Conferences, June 2019.
[pdf][bibtex][slides][video][code]
@ARTICLE{csd_toppicks19,
  author={M. {Taram} and A. {Venkat} and D. M. {Tullsen}},
  journal={IEEE Micro}, 
  title={Context-Sensitive Decoding: On-Demand Microcode Customization for Security and Energy Management}, 
  year={2019},
  volume={39},
  number={3},
  pages={75-83},
  doi={10.1109/MM.2019.2910507}}
      
Fast and Efficient Deployment of Security Defenses via Context Sensitive Decoding
Mohammadkazem Taram, Dean M. Tullsen, Ashish Venkat, Houman Homayoun, Sai Manoj MD
In Proceedings of the 44th Government Microcircuit Applications and Critical Technology Conference (GOMACTech), March, 2019.
[pdf][bibtex][slides][video][code]
@inproceedings{csd_gomactech,
  title={Fast and efficient deployment of security defenses via context sensitive decoding},
  author={Taram, Mohammadkazem and Tullsen, Dean and Venkat, Ashish and Sayadi, Hossein and Wang, Han and Manoj, Sai and Homayoun, Houman},
  year={2019},
 booktitle = {Proceedings of the 44th Government Microcircuit Applications and Critical Technology Conference }, 
}
      
2018 Mobilizing the Micro-Ops: Exploiting Context Sensitive Decoding for Security and Energy Efficiency
Mohammadkazem Taram, Ashish Venkat, and Dean Tullsen
Proceedings of the 45th Annual International Symposium on Computer Architecture (ISCA) June 2019.
[pdf][bibtex][slides][video][code]
@inproceedings{isca18-csd,
author = {Taram, Mohammadkazem and Venkat, Ashish and Tullsen, Dean},
title = {Mobilizing the Micro-Ops: Exploiting Context Sensitive Decoding for Security and Energy Efficiency},
year = {2018},
isbn = {9781538659847},
publisher = {IEEE Press},
url = {https://doi.org/10.1109/ISCA.2018.00058},
doi = {10.1109/ISCA.2018.00058},
booktitle = {Proceedings of the 45th Annual International Symposium on Computer Architecture},
pages = {624–637},
numpages = {14},
keywords = {security, power gating, side channel, microcode},
location = {Los Angeles, California},
series = {ISCA '18}
}
      
IEEE Micro Top Pick!
2016 TooT: an Efficient and Scalable Power-Gating Method for NoC Routers
Hossein Farrokhbakht, Mohammadkazem Taram, Behnam Khaleghi, and Shaahin Hessabi.
Proceedings of the 10th IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Nara, Japan, Aug 2016.
[pdf][bibtex]
@INPROCEEDINGS{nocs16-toot,
  title={TooT: an efficient and scalable power-gating method for NoC routers},
  author={Hossein Farrokhbakht and Mohammadkazem Taram and Behnam Khaleghi and Shaahin. Hessabi},
  journal={2016 Tenth IEEE/ACM International Symposium on Networks-on-Chip},
  year={2016},
  pages={1-8},
  doi={10.1109/NOCS.2016.7579326},
  series = {NOCS '16} 
}
      
A Compile-Time Optimization Method for WCET Reduction in Real-Time Embedded Systems through Block Formation
Morteza Mohajjel Kafshdooz, Mohammadkazem Taram, Sepehr Assadi, and Alireza Ejlali
ACM Transactions on Architecture and Code Optimization (TACO), Jan 2016.
[pdf][bibtex]
@article{taco16-wcet,
    author = {Kafshdooz, Morteza Mohajjel and Taram, Mohammadkazem and Assadi, Sepehr and Ejlali, Alireza},
    title = {A Compile-Time Optimization Method for WCET Reduction in Real-Time Embedded Systems through Block Formation},
    year = {2016},
    issue_date = {January 2016},
    publisher = {Association for Computing Machinery},
    address = {New York, NY, USA},
    volume = {12},
    number = {4},
    issn = {1544-3566},
    url = {https://doi.org/10.1145/2845083},
    doi = {10.1145/2845083},
    journal = {ACM Trans. Archit. Code Optim.},
    month = jan,
    articleno = {66},
    numpages = {25},
    keywords = {WCET, Compile-time optimization, hyperblock}
}
      

Group

I work with the following top-notch graduate students at Purdue:

Teaching

  • Purdue - Computer Security (CS-426), Fall 2023
  • Purdue - Microarchitecture Security (CS-593), Spring 2023
  • UCSD - Introduction to Computer Architecture (CSE141), Summer Session I 2021
  • UCSD - Introduction to Computer Architecture Lab (CSE141L), Summer Session I 2021